A New Design Optimization Methodology of Fully Differential Dynamic Comparator

Leila Khanfir, Jaouhar Mouine

Abstract


The need to reduce time-to-market for high performances integrated circuits has become of primary concern in modern electronic design. Many efforts are currently spent to streamline the design process for increased complexity circuits while providing optimal performances, especially for nanoscale technologies. This paper presents a new and effective methodology for the design of fully differential comparators to achieve high performance operation using dynamic topology and nanoscale technology. The proposed methodology is not process dependent and can be applied to similar conventional comparator structures to optimize the speed operation while ensuring good offset cancellation, efficient noise immunity, and reduced design time and complexity. The design steps include theoretical analysis and simulation-based optimization of the comparator speed, as well as the offset and noise reduction within minimal design time. All the analog and digital building blocks are designed using dynamic topologies, including the clock generator, to ensure high speed and synchronized operation. The resulting circuit is a new two-stage dual clock fully differential comparator. Compared to its equivalent counterparts, it provides improved operation speed, and reduced offset voltage and kickback noise. This comparator is designed in TSMC 65 nm CMOS process. Its performances show that it achieves 1.25 GHz operation speed, presents less than 9 mV offset error, and generates a kickback noise less than 40 mV with a 10 kΩ input resistance during reset phase only. It consumes 213 µW from 1.2 V power supply at 1.25 GHz.

Keywords


microelectronics; dynamic comparators; offset calibration; kickback noise

Full Text:

PDF

References


M. I. Dewan and D. H. Kim, “NP-Separate: A New VLSI Design Methodology for Area, Power, and Performance Optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 12, pp. 5111–5122, Dec. 2020, doi: 10.1109/TCAD.2020.2966551.

J. Atkinson, A. Bailey, and A. Tajalli, “Systematic Design of Loop Circuit Topologies Using C/IDS Methodology,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 30, no. 10, pp. 1538–1542, Oct. 2022, doi: 10.1109/TVLSI.2022.3181969.

L. Khanfir and J. Mouïne, “Design optimisation procedure for digital mismatch compensation in latch comparators,” IET Circuits, Devices & Systems, vol. 12, no. 6, pp. 726–734, 2018, doi: 10.1049/iet-cds.2018.5153.

S. Oh et al., “An 85 dB DR 4 MHz BW Pipelined Noise-Shaping SAR ADC With 1–2 MASH Structure,” IEEE Journal of Solid-State Circuits, vol. 56, no. 11, pp. 3424–3433, Nov. 2021, doi: 10.1109/JSSC.2021.3086853.

B. Yazdani and S. Jafarabadi Ashtiani, “A Low Power Fully Differential Level-Crossing ADC With Low Power Charge Redistribution Input for Biomedical Applications,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 69, no. 3, pp. 864–868, Mar. 2022, doi: 10.1109/TCSII.2021.3127279.

C.-C. Lu and D.-K. Huang, “A 10-Bits 50-MS/s SAR ADC Based on Area-Efficient and Low-Energy Switching Scheme,” IEEE Access, vol. 8, pp. 28257–28266, 2020, doi: 10.1109/ACCESS.2020.2971665.

Y. Song, Y. Zhu, C.-H. Chan, and R. P. Martins, “A 40-MHz Bandwidth 75-dB SNDR Partial-Interleaving SAR-Assisted Noise-Shaping Pipeline ADC,” IEEE Journal of Solid-State Circuits, vol. 56, no. 6, pp. 1772–1783, Jun. 2021, doi: 10.1109/JSSC.2020.3033931.

L. Sumanen, M. Waltari, V. Hakkarainen, and K. Halonen, “CMOS dynamic comparators for pipeline A/D converters,” in 2002 IEEE International Symposium on Circuits and Systems. Proceedings, May 2002, vol. 5, p. V–V. doi: 10.1109/ISCAS.2002.1010664.

Y. Liu, S. Fang, and Y. Wang, “A Novel Time-Multiplexed Fully Differential Interface ASIC With Strong Nonlinear Suppression for MEMS Accelerometers,” IEEE Transactions on Instrumentation and Measurement, vol. 71, pp. 1–13, 2022, doi: 10.1109/TIM.2022.3207795.

K.-J. Moon, D.-R. Oh, M. Choi, and S.-T. Ryu, “A 28-nm CMOS 12-Bit 250-MS/s Voltage-Current-Time Domain 3-Stage Pipelined ADC,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 67, no. 12, pp. 2843–2847, Dec. 2020, doi: 10.1109/TCSII.2020.2990910.

BA. T. Ramkaj, M. J. M. Pelgrom, M. S. J. Steyaert, and F. Tavernier, “A 28 nm CMOS Triple-Latch Feed-Forward Dynamic Comparator With <27 ps / 1 V and <70 ps / 0.6 V Delay at 5 mV-Sensitivity,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 69, no. 11, pp. 4404–4414, Nov. 2022, doi: 10.1109/TCSI.2022.3199438.

P. M. Figueiredo and J. C. Vital, “Kickback noise reduction techniques for CMOS latched comparators,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 53, no. 7, pp. 541–545, Jul. 2006, doi: 10.1109/TCSII.2006.875308.

L. Khanfir and J. Mouine, “Clock delay-based design for hysteresis programming and noise reduction in dynamic comparators,” Analog Integr Circ Sig Process, vol. 106, no. 2, pp. 409–419, Feb. 2021, doi: 10.1007/s10470-020-01656-3.

R. K. Siddharth, Y. Jaya Satyanarayana, Y. B. Nithin Kumar, M. H. Vasantha, and E. Bonizzoni, “A 1-V, 3-GHz Strong-Arm Latch Voltage Comparator for High Speed Applications,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 67, no. 12, pp. 2918–2922, Dec. 2020, doi: 10.1109/TCSII.2020.2993064.

L. Sumanen, M. Waltari, and K. Halonen, “A mismatch insensitive CMOS dynamic comparator for pipeline A/D converters,” in ICECS 2000. 7th IEEE International Conference on Electronics, Circuits and Systems, Dec. 2000, vol. 1, pp. 32–35 vol.1. doi: 10.1109/ICECS.2000.911478.

V. Katyal, R. L. Geiger, and D. J. Chen, “A New High Precision Low Offset Dynamic Comparator for High Resolution High Speed ADCs,” in APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems, Dec. 2006, pp. 5–8. doi: 10.1109/APCCAS.2006.342249.

P. P. Gandhi and N. M. Devashrayee, “A novel low offset low power CMOS dynamic comparator,” Analog Integr Circ Sig Process, vol. 96, no. 1, pp. 147–158, Jul. 2018, doi: 10.1007/s10470-018-1166-9.

K. Ohhata et al., “A 900-MHz, 3.5-mW, 8-bit Pipelined Subranging ADC Combining Flash ADC and TDC,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 9, pp. 1777–1787, Sep. 2018, doi: 10.1109/TVLSI.2018.2827943.

J. Yuan and C. Svensson, “High-speed CMOS circuit technique,” IEEE Journal of Solid-State Circuits, vol. 24, no. 1, pp. 62–70, Feb. 1989, doi: 10.1109/4.16303.

P. Nuzzo, F. D. Bernardinis, P. Terreni, and G. V. der Plas, “Noise Analysis of Regenerative Comparators for Reconfigurable ADC Architectures,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 55, no. 6, pp. 1441–1454, Jul. 2008, doi: 10.1109/TCSI.2008.917991.

L. Khanfir and J. Mouïne, “Systematic Hysteresis Analysis for Dynamic Comparators,” Journal of Circuits, Systems, and Computers, vol. 28, no. 06, p. 1950100, Jun. 2019, doi: 10.1142/S0218126619501007.

V. Milovanović and H. Zimmermann, “A two-differential-input/differential-output fully complementary self-biased open-loop analog voltage comparator in 40 nm LP CMOS,” in 2014 29th International Conference on Microelectronics Proceedings - MIEL 2014, May 2014, pp. 355–358. doi: 10.1109/MIEL.2014.6842163.

M. Hassanpourghadi, M. Zamani, and M. Sharifkhani, “A low-power low-offset dynamic comparator for analog to digital converters,” Microelectronics Journal, vol. 45, no. 2, pp. 256–262, Feb. 2014, doi: 10.1016/j.mejo.2013.11.012.

S. Naghavi et al., “A 500 MHz low offset fully differential latched comparator,” Analog Integr Circ Sig Process, vol. 92, no. 2, pp. 233–245, Aug. 2017, doi: 10.1007/s10470-017-0998-z.

P. P. Gandhi and N. M. Devashrayee, “A novel low offsetlow power CMOS dynamic comparator,” Analog Integr Circ Sig Process, vol. 96, no. 1, pp.




DOI: https://doi.org/10.33180/InfMIDEM2023.204

Refbacks



Copyright (c) 2023 Leila Khanfir, Jaouhar Mouine

Creative Commons License
This work is licensed under a Creative Commons Attribution 4.0 International License.