Real-Time Random Number Generation With RO-Based Double PUF

Seda Arslan Tuncer

Abstract


Integrated Circuit (IC) is a semiconductor wafer that is fabricated for millions of components. Although it is accepted that the same chemical properties are observed in the same type of ICs produced within the processes of wafer production, masking, etching, doping, atomic diffusion, ion implantation, metallization and packaging steps, these properties differ at microscopic levels. For example, the propagation delay is different in two logic elements in the same integrated circuit, and the silicium distribution in each LE is not equal. These differences have created the concept of physically unclonable function (PUF). PUF is a function that creates values specific to the physical environment in which it operates. This article presents the implementation of a ring oscillator- (RO) based PUF design in two different ICs to generate random numbers. Two different FPGA (Field Programmable Gate Array) cores were used in the proposed structure, and PUF structures were implemented on these hardware elements. The raw random numbers obtained by the generated system were post-processed to be used in applications (e.g. encryption, game programming). The study used Von Neumann and hash functions for post-processing. NIST and Autocorrelation tests were also administered to check the validity of the obtained random numbers.


Keywords


Random number generation; Field programmable gate arrays; Programmable logic arrays

Full Text:

PDF

References


R. Pappu, B. Recht, J. Taylor, N. Gershenfeld, “Physical one-way functions”, Science, 297(5589): 2026-2030, 2002.

G. E. Suh, S. Devadas, “Physical unclonable functions for device authentication and secret key generation”, 44th Annual Design Automation Conference, ser. DAC ’07. New York, NY, USA: ACM, , pp. 9–14, 2007.

J.W. Lee, D. Lim, B. Gassend, G.E. Suh, M. Van Dijk, S.A. Devadas, “Technique to build a secret key in integrated circuits for identification and authentication applications”, In Proc. Symposium on VLSI Circuits. Digest of Technical Papers,, pp.176-179,2004.

D.E. Holcomb, W.P. Burleson, K. Fu, “Power-up SRAM state as an identifying fingerprint and source of true random numbers”, IEEE Transactions on Computers, 58(9): 1198-1210, 2009.

P. Tuyls, B. Skoric, S. Stallinga, T. Akkermans, W. Ophey, “An information theoretic model for physical uncloneable functions”, In Proc. International Symposium on Information Theory, Jun. 2004, p.139.

E. Avaroğlu, T. Tuncer, A.B. Özer, B. Ergen, M. Tűrk, “A novel chaos-based post-processing for TRNG”. Nonlinear Dyn. 1–11, 2015.

A. Maiti, P. Schaumont, “Improving the quality of a physical unclonable function using configurable ring oscillators”, In Proc. the 19th International Conference on Field-Programmable Logic and Applications, Aug. 31-Sept. 2, pp.703-707,2009.

J.H. Anderson, “A PUF design for secure FPGA-based embedded systems”, In Proc. the 15th Asia and South Pacific Design Automation Conference, Jan. pp.1-6, 2010.

Ş. Baş and M. E. Yalçın, "Key generation and license authentication using physical unclonable functions," 23nd Signal Processing and Communications Applications Conference (SIU), Malatya, pp. 387-390. 2015.

Y. Hori, H. Kang, T. Katashita, A. Satoh, "Pseudo-LFSR PUF: A Compact, Efficient and Reliable Physical Unclonable Function," 2011 International Conference on Reconfigurable Computing and FPGAs, Cancun, pp. 223-228, 2011.

G. Komurcu, A. E. Pusane, G. Dundar, “Dynamic programming based grouping method for RO-PUFs,”, 9th Conference on Ph. D. Research in Microelectronics and Electronics (PRIME), 2013.

L. Bossuet, X. T. Ngo, Z. Cherif, V. Fischer, "A PUF Based on a Transient Effect Ring Oscillator and Insensitive to Locking Phenomenon", IEEE Transactions on Emerging Topics in Computing, vol. 2, no. 1, pp. 30-36, March 2014.

T. Machida, D. Yamamoto, M. Iwamoto, K. Sakiyama, "A New Arbiter PUF for Enhancing Unpredictability on FPGA", Scientific World Journal, 864812, 2015.

D. Froerer, T. Peterson, "True Random Number Generation with ADouble Arbiter PUF", https://spaces.usu.edu/download/attachments/.../puf.pdf.

A. Maiti, V. Gunreddy, P. Schaumont, "A systematic method to evaluate and compare the performance of physical unclonable functions" in Embedded Systems Design with FPGAs., New York, NY, USA:Springer-Verlag, pp. 245-267, Nov. 2012.

F. Özkaynak, “Cryptographically secure random number generator with chaotic additional input”, Nonlinear Dyn. doi:10.1007/s11071-014-1591-y, 2014.

K. Wold, C.H. Tan, “Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Ring”, International Conference on Reconfigurable Computing and FPGAs, pp.385-390, 2008.

A. Maiti, J. Casarona, L. McHale, P. Schaumont, “A large scale characterization of RO-PUF”, Proceedings of the International Workshop on Hardware-Oriented Security and Trust(HOST), pp. 94–99, 2014.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2018 Informacije MIDEM